반도체

차세대 반도체 노광 기술 EUV, 어디까지 왔나

한주엽


* 5월 25일 발행된 오프라인 매거진 <인사이트세미콘> 6월호에 실린 기사입니다.

[전자부품 전문 미디어 인사이트세미콘]

EUV 노광 장비는 광원의 출력 부족, 떨어지는 일 웨이퍼 처리량으로 현재 반도체 양산 라인에는 도입이 이뤄지지 못하고 있는 실정이다. 그러나 최근 성능 개선에 속도를 내고 있어 2016년에는 10나노 혹은 그 이하 노드의 로직 반도체 시험 생산용으로 도입될 것이라는 관측이 나오고 있다. 노광은 무어의 법칙을 지속시켜줄 핵심 기술이다. 해당 장비를 개발하고 있는 ASML에 반도체 업계의 관심이 집중되고 있는 이유도 바로 이 때문이다. 노광 기술의 과거와 현재, 미래를 훑어본다.

글 한주엽 기자 powerusr@insightsemicon.com

지난 수십 년간 반도체 산업이 발전할 수 있었던 배경은 칩의 회로 선폭을 꾸준하게 좁혀온 데에서 찾을 수 있다. 회로와 회로 사이의 간격이 줄면 칩 면적은 좁아진다. 웨이퍼 한 장에서 얻을 수 있는 칩 수가 늘어난다는 의미다. 이는 곧 원가 절감 효과로 이어진다. 동일 면적이라면 집적할 수 있는 트랜지스터의 개수가 늘어나 성능이 향상된다. 칩 면적이 좁아지면 전력 소모량은 줄어들게 돼 있다. 더 빠르고, 더 적은 소비전력에, 더 저렴한 반도체 칩이 쏟아졌다. 2년마다 반도체의 집적도는 두 배로 늘어난다는 ‘무어의 법칙’은 그렇게 전자 산업을 이끌어왔다.

회로 선폭의 축소, 즉 미세화의 열쇠는 실리콘 웨이퍼에 회로 패턴을 형성하는 리소그래피(lithography) 공정이 쥐고 있다. 리소그래피 공정의 핵심은 바로 노광(露光, exposure)이다. 노광은 금속으로 설계 패턴이 새겨진 마스크(mask) 원판에 빛을 쪼이고, 마스크를 투과한 빛은 감광액(photoresist)이 도포된 웨이퍼로 전사돼 회로 패턴이 형성되는 일련의 과정을 의미한다. 필름 사진을 현상하는 과정과 흡사하다.

반도체 생산 과정은 찍고(노광), 깎고(식각), 씻고(세정), 덮고(증착), 불순물을 주입하는 등의 여러 공정 작업이 반복된다. 이 중 노광 공정을 수행하는 시간은 가장 길다. 1기가비트(Gb) D램을 기준으로 보면 칩이 완성될 때까지 걸리는 총 시간에서 노광 공정이 차지하는 비중은 약 60%에 이른다. 총 생산원가 비중은 무려 35% 수준이라고 한다. 노광을 반도체 생산의 핵심 공정이라고 말하는 이유는 바로 이 때문이다.

웨이퍼 위에 보다 미세한 회로 패턴을 형성하려면 분해능(分解能, resolving power)이라고도 불리는 노광 장비의 해상력(解像力, resolution)이 높아져야 한다. 분해능 혹은 해상력이란 인접한 두 물체를 별개의 것으로 분별할 수 있는 능력을 말한다. 주로 광학기기의 성능을 나타낼 때 사용되는데, 웨이퍼에 회로 패턴을 새기는 노광 장비에서 이 능력은 ‘얼마나 미세하게 회로 패턴을 형성할 수 있는가’로 평가된다. 해상도의 한계를 넘어서는 미세 패턴을 그리려 한다면, 빛의 회절(回折, diffraction)과 이로 인한 산란(散亂, scattering)으로 간섭이 일어나 원래의 마스크 패턴과는 다른, 왜곡된 상이 웨이퍼에 맺히는 문제가 발생한다. 왜곡된 패턴이 형성된 칩은 제 기능을 하지 못한다.

반도체 노광 장비의 해상력 진화 방향

그간의 반도체 노광 장비는 개구수(numerical aperture, NA)가 높은 큰 렌즈를 사용하거나, 짧은 파장의 광원으로 진화하는 방식을 통해 해상력을 높여왔다. 130나노 반도체까진 파장의 길이가 248나노미터(nm)인 불화크립톤(KrF) 엑시머 레이저를 사용했고, 90나노대로 접어들면서부터 193nm 파장의 불화아르곤(ArF) 엑시머 레이저를 활용하게 됐다. 이 과정에서 빛의 회절과 산란으로 인한 간섭을 줄이는 갖가지 해상력 보강 기술이 등장한다. 빛의 세기와 위상(位相, phase)을 조절해 회절광을 없애는 위상 변위 마스크(phase shift mask, PSM), 왜곡이 예상되는 패턴을 인위적으로 변조해 올바른 상이 맺히도록 만든 광 근접 보정(optical proximity correction, OPC) 마스크 등이 바로 그것이다.

현재 최신 반도체 양산 라인에 도입돼 있는 ArF 노광 장비는 공기보다 굴절률이 큰 액상 매체(1.44)를 이용해 해상력을 높인 액침(液浸, immersion) 기술을 활용한다. 다만 30나노대 이하로 게이트 선폭이 줄어들면서 액침 ArF 노광 장비의 물리적인 회로 패턴 구현 능력도 한계치에 다다른다. 업계에선 이 한계를 뛰어넘기 위해 두 번, 혹은 세 번에 걸쳐 패터닝을 하는 멀티 패터닝 기법을 도입하기에 이른다. 한 번에 그릴 패턴을 두 번 혹은 세 번에 나눠서 그린다는 의미다. 최신형 고성능 로직칩과 메모리는 이러한 멀티 패터닝 기법으로 생산되고 있다. 멀티 패터닝 기법도 여러 가지인데, 노광, 식각, 노광, 식각 과정을 거치는 LELE(Litho-Etch-Litho-Etch)와 한 번의 결정적 노광과 여러 번의 식각 및 증착을 통해 패턴을 형성하는 SADP(self-aligned double-patterning)가 대표적으로 쓰인다. 어떤 것이든 생산 비용과 공정의 복잡성은 증가할 수 밖에 없다. LELE의 경우 한 번의 노광과 식각을 끝낸 이후 다시 이 작업을 반복하기 위해 정확하게 위치를 정렬(alignment)해야 하는 오버레이(overlay) 이슈가 있다. SADP는 한 번의 노광 공정을 거치므로 오버레이 이슈는 없지만 실질 공정 수는 LELE 대비 늘어나므로 전체적인 생산 비용은 더 높다. 주요 반도체 업체들은 여기서 한 단계씩 더 나아가 보다 미세한 반도체를 만들기 위해 LELELE, SAQP(self-aligned quadruple-patterning) 기법을 활용하고 있다.

세계 1위 반도체 업체인 인텔의 경우 쿼드러플 패터닝으로 게이트 간격이 7나노대인 칩을 만들 수 있다고 공언한 상태다. 그러나 이런 다(多) 패터닝 공정은 원가 상승을 의미하므로 미세화가 갖는 경제적 측면은 사라진다. 다시 한 번 ‘단파장화’로 해상력을 높일 때가 온 것이다.

새로운 대안, EUV

극자외선(Extreme Ultra Violet, EUV)은 자외선(UV)과 X-선의 중간 영역에 있는 전자기파다. 반도체 공정에서 사용하는 EUV는 13.5nm의 파장을 가지도록 고안됐다. 파장이 짧은 EUV를 활용하면 10나노 미만의 반도체도 멀티패터닝이 아닌, 한 번의 노광으로 만들어낼 수 있다. 현재 EUV 장비를 개발하고 있는 업체는 반도체 노광 시장에서 1위 지위를 지키고 있는 네덜란드 ASML이 유일하다. 일본 캐논은 개발 자체를 포기했고, 니콘도 사실상 손을 놓았다.

EUV는 기체를 포함한 모든 물질에 흡수되는 독특한 성질을 갖고 있어서 일반적인 스펙트럼 차트에선 암흑 영역으로 표시되기도 했다. 이러한 성질로 인해 지금까지 인류가 EUV를 제대로 활용했던 적은 없었다.

자연계의 모든 물질에 흡수되는 EUV의 성질은 노광 장비의 설계 변경을 불러왔다. 우선 장비 내부는 진공 상태를 유지해야 한다. EUV는 공기에도 흡수되기 때문이다. 기존 투과형 렌즈 역시 흡수 문제로 사용할 수가 없다. EUV 장비는 마스크의 상을 축소 투영하기 위해 몰리브데넘(Mo)과 실리콘(Si)을 다층으로 쌓은 여러 개의 박막 거울(multi layer mirror)로 EUV 광원을 반사, 웨이퍼 위로 닿게 하는 방식을 채용하고 있다. 구조의 복잡성은 논외로 치더라도, Mo-Si 다층박막거울의 최대 반사효율은 약 70% 수준이어서 EUV 광원이 실제 웨이퍼 위로 도포된 감광제에 도달했을 때는 이미 엄청난 양의 손실이 발생한다. 이 같은 광원 손실은 노광 공정 시간의 지연을 야기했다.


2012년 중반 ASML의 테스트용 EUV 장비였던 NXE3100은 시간당 웨이퍼 처리량이 10장을 넘지 않았다. 현재 양산라인에 도입돼 있는 액침 ArF 장비의 경우 시간당 최대 250장 이상의 웨이퍼를 처리할 수 있다. 당시 반도체 생산 업체들은 “시간당 최소 100장은 처리할 수 있어야 EUV 장비를 양산 라인에 도입할 수 있다”는 견해를 내놓았다.

2012년은 ASML로서는 ‘변화의 한 해’였다. 당시 EUV 장비의 성능 개선이 지지부진했던데다 그 해 장비 주문 감소로 매출은 전년 대비 크게 꺾였다. ASML은 이러한 상황에 대응하기 위해 인텔, TSMC, 삼성전자에 지분 투자 및 연구개발(R&D) 자금을 요청한다. 인텔과 TSMC, 삼성전자가 인수한 ASML의 지분은 각각 15%(25억유로), 5%(8억3800만유로), 3%(5억300만유로)다. ASML에 댄 R&D 자금은 인텔이 8억2900만유로, TSMC와 삼성전자가 각각 2억7600만유로였다. 당시 ASML이 지분 23%와 EUV 장비 ‘우선공급’이라는 조건을 걸고 인텔, TSMC, 삼성전자로부터 조달한 자금은 13억8100만유로(약 2조원)에 이른다.

ASML은 이렇게 조달한 재원에 자사 보유 자금을 더해 광원 기술을 보유한 미국 사이머(cymer)를 19억5000만유로에 인수했다. 사이머는 노광 장비 광원 시장에서 1위의 지위를 확보하고 있는 업체다. 경쟁사로는 일본의 기가포튼이 있는데, 점유율은 사이머가 70%, 기가포튼이 30% 수준으로 상당히 차이가 난다. ASML은 이듬해인 2013년 일본 우시오전기의 EUV R&D 자회사인 독일 익스트림 테크놀로지스의 광원 유지보수 사업도 인수한다.

일 웨이퍼 처리량 1000장 달성, 올해는 1500장

광원 기술을 내재화한 ASML은 이후 EUV 장비 성능 개선에 속도를 냈다. 최근에는 의미 있는 성과를 발표하고 있다. ASML은 지난 2월 미국 산호세에서 열린 반도체 리소그래피 전문 전시회인 국제광자공학(The International Society for Optics and Photonic, SPIE) 2015에서 80~110와트(W)의 출력의 LPP(Laser Produced Plasma) 광원 소스를 탑재한 NXE3300B EUV 노광 장비로 하루 평균 1000장의 웨이퍼를 처리할 수 있었다고 발표했다. 이 수치는 해당 장비를 보유하고 있는 고객사의 공장에서 이틀간 실시한 테스트 결과였다.

ASML은 올해 중반기에는 출력을 125W 이상으로 높인 4세대 EUV 장비인 NXE3350B를 출하할 예정이라고 밝혔다. 이미 2대의 주문을 받아둔 상태다. ASML은 올해 이 장비 6대를 출하하는 것이 목표다. 이 장비를 출하하고 고객사와 함께 다듬는 작업을 계속하면 2016년에는 NXE3350B 장비로 하루 1500장의 웨이퍼를 처리할 수 있을 것으로 ASML은 예상하고 있다. 최기보 ASML 코리아 이사는 “하루 1500장을 처리할 수 있다면 액침 ArF로 멀티패터닝을 사용하는 것보다 EUV로 한 번 패터닝을 한 번 하는 것이 경제적이다”라고 말했다.

ASML은 액침 ArF 장비로 싱글 패터닝을 했을 때의 비용(투자비, 운영비, 노광 및 화학 재료 비용)을 100%로 삼으면, LELELE는 450% 이상, SAQP는 800%에 육박하는 비용이 들어간다고 분석했다. 증착 및 식각 작업을 추가로 병행해야 하고 이에 따른 공정 시간 증가, 공간 자치에 따른 비용이 들어가기 때문이다. NXE3350B EUV 장비를 활용하면 초기 투자비와 운영비가 기존 액침 장비보다 현저히 높지만 패터닝 작업을 한 번만 하면 되기 때문에 LELELE나 SAQP 대비 패터닝 비용이 크게 감소한다. 15mJ(milli Joule dose, 빛을 얼마나 쬐어야 감광이 되는 지를 나타내는 단위. 단위가 높으면 빛을 더 많이 쏴야 한다)의 감광액을 사용할 경우 EUV의 패터닝 원가는 300%(액침 ArF의 비용을 100%로 봤을 때) 미만이다.

ASML은 2016년 NXE3350B 장비로 일부 고객사가 10나노 미만의 로직칩 시험 생산을 시작할 것으로 내다보고 있다. 1~2년 뒤에는 해당 장비로 D램을 양산하게 될 것이라는 관측이다. ASML은 지난 4월 미국 주요 반도체 업체와 최소 15대의 EUV 노광 장비 공급 계약을 체결했다고 밝혔다. 전체 수량 중 먼저 2대의 NXE3350B를 올 연말 이전에 고객사 생산라인에 공급한다는 계획이다. 업계 전문가들은 기술력, 재무력 등을 감안하면 해당 장비를 주문한 미국 업체는 인텔일 것으로 추정하고 있다. 피터 베닝크 ASML 최고경영자(CEO)는 “이제 EUV 노광 시스템은 양산 라인 도입 단계에 들어섰다”며 “EUV의 장기적 계획과 생태계에 대한 준비도 순조롭게 진행되고 있다”고 말했다.

<한주엽 기자>powerusr@insightsemicon.com

한주엽
webmaster@ddaily.co.kr
기자의 전체기사 보기 기자의 전체기사 보기
디지털데일리가 직접 편집한 뉴스 채널